當前位置:才華齋>IT認證>EDA技術>

EDA技術的發展與應用

EDA技術 閱讀(2.15W)

電子設計技術的核心就是EDA技術,EDA是指以計算機為工作平臺,融合應用電子技術、計算機技術、智慧化技術最新成果而研製成的電子CAD通用軟體包,主要能輔助進行三方面的設計工作,即IC設計、電子電路設計和PCB設計。EDA技術已有30年的發展歷程,大致可分為三個階段。70年代為計算機輔助設計(CAD)階段,人們開始用計算機輔助進行IC版圖編輯、PCB佈局佈線,取代了手工操作。80年代為計算機輔助工程(CAE)階段。與CAD相比,CAE除了有純粹的圖形繪製功能外,又增加了電路功能設計和結構設計,並且通過電氣連線網路表將兩者結合在一起,實現了工程設計。CAE的主要功能是:原理圖輸入,邏輯模擬,電路分析,自動佈局佈線,PCB後分析。90年代為電子系統設計自動化(EDA)階段。

EDA技術的發展與應用

  一、EDA技術的基本特徵

EDA代表了當今電子設計技術的最新發展方向,它的基本特徵是:設計人員按照“自頂向下”的設計方法,對整個系統進行方案設計和功能劃分,系統的關鍵電路用一片或幾片專用積體電路(ASIC)實現,然後採用硬體描述語言(HDL)完成系統行為級設計,最後通過綜合器和介面卡生成最終的目標器件,這樣的設計方法被稱為高層次的電子設計方法。下面介紹與EDA基本特徵有關的幾個概念。

1.“自頂向下”的設計方法10年前,電子設計的基本思路還是選用標準積體電路“自底向上”地構造出一個新的系統,這樣的設計方法就如同一磚一瓦建造金字塔,不僅效率低、成本高而且容易出錯。

高層次設計是一種“自頂向下”的全新設計方法,這種設計方法首先從系統設計入手,在頂層進行功能方框圖的劃分和結構設計。在方框圖一級進行模擬、糾錯,並用硬體描述語言對高層次的系統行為進行描述,在系統一級進行驗證。然後,用綜合優化工具生成具體閘電路的網路表,其對應的物理實現級可以是印刷電路板或專用積體電路。由於設計的主要模擬和除錯過程是在高層次上完成的,這既有利於早期發現結構設計上的錯誤,避免設計工作的浪費,又減少了邏輯功能模擬的工作量,提高了設計的一次成功率。

設計現代電子產品的複雜度日益提高,一個電子系統可能由數萬箇中小規模積體電路構成,這就帶來了體積大、功耗大、可靠性差的問題。解決這一問題的有效方法就是採用ASIC晶片進行設計。ASIC按照設計方法的不同可分為全定製ASIC、半定製ASIC 和可程式設計ASIC(也稱為可程式設計邏輯器件)。

設計全定製ASIC晶片時,設計師要定義晶片上所有電晶體的幾何圖形和工藝規則,最後將設計結果交由IC廠家去進行掩模製造,做出產品。這種設計方法的優點是晶片可以獲得最優的效能,即面積利用率高、速度快、功耗低,而缺點是開發週期長,費用高,只適合大批量產品開發。

半定製ASIC晶片的版圖設計方法分為門陣列設計法和標準單元設計法,這兩種方法都是約束性的設計方法,其主要目的就是簡化設計,以犧牲晶片效能為代價來縮短開發時間。

可程式設計邏輯晶片與上述掩模ASIC的不同之處在於:設計人員完成版圖設計後,在實驗室內就可以燒製出自己的晶片,無須IC廠家的參與,大大縮短了開發週期。

可程式設計邏輯器件自70年代以來,經歷了PAL、GAL、CPLD、FPGA幾個發展階段,其中CPLD/FPGA屬高密度可程式設計邏輯器件,目前整合度已高達200萬門/片,它將掩模ASIC整合度高的優點和可程式設計邏輯器件設計生產方便的特點結合在一起,特別適合於樣品研製或小批量產品開發,使產品能以最快的速度上市,而當市場擴大時,它可以很容易地轉由掩模ASIC實現,因此開發風險也大為降低。

上述ASIC晶片,尤其是CPLD/FPGA器件,已成為現代高層次電子設計方法的實現載體。

3.硬體描述語言硬體描述語言(HDL)是一種用於設計硬體電子系統的計算機語言,它用軟體程式設計的方式來描述電子系統的邏輯功能、電路結構和連線形式,與傳統的門級描述方式相比,它更適合大規模系統的設計。例如一個32位的加法器,利用圖形輸入軟體需要輸入500至1000個門,而利用VHDL語言只需要書寫一行“A=B+C”即可。而且VHDL語言可讀性強,易於修改和發現錯誤。早期的硬體描述語言,如ABEL、HDL、AHDL,由不同的EDA廠商開發,互不相容,而且不支援多層次設計,層次間翻譯工作要由人工完成。為了克服以上不足,1985年美國國防部正式推出了高速積體電路硬體描述語言 VHDL,1987年IEEE採納VHDL為硬體描述語言標準(IEEESTD-1076)。

VHDL是一種全方位的硬體描述語言,包括系統行為級、暫存器傳輸級和邏輯閘級多個設計層次,支援結構、資料流和行為三種描述形式的混合描述,因此VHDL幾乎覆蓋了以往各種硬體描述語言的功能,整個自頂向下或自底向上的電路設計過程都可以用VHDL來完成。VHDL還具有以下優點:(1)VHDL的寬範圍描述能力使它成為高層次設計的核心,將設計人員的工作重心提高到了系統功能的實現與除錯,而花較少的精力於物理實現。(2)VHDL可以用簡潔明確的程式碼描述來進行復雜控制邏輯的設計,靈活且方便,而且也便於設計結果的交流、儲存和重用。(3)VHDL的設計不依賴於特定的器件,方便了工藝的轉換。(4)VHDL是一個標準語言,為眾多的`EDA廠商支援,因此移植性好。

系統框架結構EDA系統框架結構(Framework)是一套配置和使用EDA軟體包的規範。目前主要的 EDA系統都建立了框架結構,如Cadence公司的DesignFramework,Mentor公司的FalconFramework,而且這些框架結構都遵守國際CFI組織制定的統一技術標準。框架結構能將來自不同EDA廠商的工具軟體進行優化組合,整合在一個易於管理的統一的環境之下,而且還支援任務之間、設計師之間以及整個產品開發過程中的資訊傳輸與共享,是並行工程和自頂向下設計方法的實現基礎。

  二、EDA技術的基本設計方法

EDA技術的每一次進步,都引起了設計層次上的一次飛躍,圖1示出EDA技術設計層次的飛躍。物理級設計主要指IC版圖設計,一般由半導體廠家完成,對電子工程師沒有太大的意義,因此本文重點介紹電路級設計和系統級設計。

1.電路級設計電路級設計工作流程如圖2所示。電子工程師接受系統設計任務後,首先確定設計方案,並選擇能實現該方案的合適元器件,然後根據具體的元器件設計電路原理圖。接著進行第一次模擬,其中包括數位電路的邏輯模擬、故障分析,類比電路的交直流分析、瞬態分析。在進行系統模擬時,必須要有元件模型庫的支援,計算機上模擬的輸入輸出波形代替了實際電路除錯中的訊號源和示波器。這一次模擬主要是檢驗設計方案在功能方面的正確性。

模擬通過後,根據原理圖產生的電氣連線網路表進行PCB板的自動佈局佈線。在製作PCB板之前還可以進行PCB後分析,其中包括熱分析、噪聲及竄擾分析、電磁相容分析、可靠性分析等,並可將分析後的結果引數反標回電路圖,進行第二次模擬,也稱為後模擬。後模擬主要是檢驗PCB板在實際工作環境中的可行性。

由此可見,電路級的EDA技術使電子工程師在實際的電子系統產生前,就可以全面地瞭解系統的功能特性和物理特性,從而將開發風險消滅在設計階段,縮短了開發時間,降低了開發成本。

2.系統級設計進入90年代以來,電子資訊類產品的開發明顯呈現兩個特點:一是產品複雜程度提高;二是產品上市時限緊迫。然而,電路級設計本質上是基於門級描述的單層次設計,設計的所有工作(包括設計輸入、模擬和分析、設計修改等)都是在基本邏輯閘這一層次上進行的,顯然這種設計方法不能適應新的形勢,一種高層次的電子設計方法,也即系統級設計方法,應運而生。

高層次設計是一種“概念驅動式”設計,設計人員無須通過門級原理圖描述電路,而是針對設計目標進行功能描述。由於擺脫了電路細節的束縛,設計人員可以把精力集中於創造性的方案與概念的構思上,一旦這些概念構思以高層次描述的形式輸入計算機,EDA系統就能以規則驅動的方式自動完成整個設計。這樣,新的概念就能迅速有效地成為產品,大大縮短了產品的研製週期。不僅如此,高層次設計只是定義系統的行為特性,可以不涉及實現工藝,因此還可以在廠家綜合庫的支援下,利用綜合優化工具將高層次描述轉換成針對某種工藝優化的網路表,使工藝轉化變得輕而易舉。系統級設計的工作流程見圖3。首先,工程師按照“自頂向下”的設計方法進行系統劃分。其次,輸入VHDL程式碼,這是高層次設計中最為普遍的輸入方式。此外,還可以採用圖形輸入方式(框圖,狀態圖等),這種輸入方式具有直觀、容易理解的優點。第三步是,將以上的設計輸入編譯成標準的VHDL檔案。第四步是進行程式碼級的功能模擬,主要是檢驗系統功能設計的正確性。這一步驟適用大型設計,因為對於大型設計來說,在綜合前對原始碼模擬,就可以大大減少設計重複的次數和時間。一般情況下,這一模擬步驟可略去。第五步是,利用綜合器對VHDL原始碼進行綜合優化處理,生成門級描述的網路表文件,這是將高層次描述轉化為硬體電路的關鍵步驟。綜合優化是針對ASIC晶片供應商的某一產品系列進行的,所以綜合的過程要在相應的廠家綜合庫支援下才能完成。第六步是,利用產生的網路表文件進行適配前的時序模擬,模擬過程不涉及具體器件的硬體特性,是較為粗略的。一般的設計,也可略去這一模擬步驟。第七步是利用介面卡將綜合後的網路表文件針對某一具體的目標器件進行邏輯對映操作,包括底層器件配置、邏輯分割、邏輯優化、佈局佈線。第八步是在適配完成後,產生多項設計結果:(1)適配報告,包括晶片內部資源利用情況,設計的布林方程描述情況等;(2)適配後的模擬模型;(3)器件程式設計檔案。根據適配後的模擬模型,可以進行適配後的時序模擬,因為已經得到器件的實際硬體特性(如時延特性),所以模擬結果能比較精確地預期未來晶片的實際效能。如果模擬結果達不到設計要求,就需要修改VHDL原始碼或選擇不同速度和品質的器件,直至滿足設計要求;最後一步是將介面卡產生的器件程式設計檔案通過程式設計器或下載電纜載入到目標晶片 FPGA或CPLD中。如果是大批量產品開發,則通過更換相應的廠家綜合庫,輕易地轉由ASIC形式實現。

綜上所述,EDA技術是電子設計領域的一場革命,目前正處於高速發展階段,每年都有新的EDA工具問世。廣大電子工程人員掌握這一先進技術,這不僅是提高設計效率的需要,更是我國電子工業在世界市場上生存、競爭與發展的需要。