當前位置:才華齋>IT認證>EDA技術>

基於EDA技術的現代電子設計方法

EDA技術 閱讀(2.39W)

  1.引言

基於EDA技術的現代電子設計方法

積體電路設計不斷向超大規模、低功率、超高速方向發展,其核心技術是基於EDA技術的現代電子設計技術。EDA(Electronic Design Automation,電子設計自動化)技術,以積體電路設計為目標,以可程式設計邏輯器件(如CPLD、FPGA)為載體,以硬體描述語言(VHDL、VerilogHDL)為設計語言,以EDA軟體工具為開發環境,利用強大計算機技術來輔助人們自動完成邏輯化和模擬測試,直到既定的電子產品的設計完成。其融合了,大規模積體電路製造技術、計算機技術、智慧化技術,可以進行電子電路設計、模擬,PCB設計,CPLD/FPGA設計等。簡言之,EDA技術可概括為在開發軟體(本文用QuartusⅡ)環境裡,用硬體描述語言對電路進行描述,然後經過編譯、模擬、修改環節後,最終下載到設計載體(CPLD、FPGA)中,從而完成電路設計的新技術。

以EDA技術為核心的現代電子設計方法和傳統的電子設計方法相比有很大的優點,兩種設計方法的`流程如下圖:

圖1 傳統電子設計流程圖

圖2 基於EDA的現代電子設計流程圖

比較兩種設計方法,基於EDA技術的現在電子設計方法採用自上而下的設計方法,系統設計的早期便可進行逐層模擬和修改,藉助計算機平臺,降低了電路設計和測試的難度,極大程度地縮短了電子產品的設計週期、節約了電子產品的設計成本。DEA技術極大的促進了現代電子技術的發展,已成為現代電子技術的核心。

  tusⅡ軟體開發環境介紹

QuartusⅡ軟體是Alter公司開發的綜合性EDA工具軟體,提供了強大的電子設計功能,充分發揮了FPGA、CPLD和結構化ASIC的效率和效能,包含自有的綜合器及模擬器,支援原理圖、VHDL、VerilogHDL等多種設計輸入,把設計、佈局佈線和驗證功能以及第三方EDA工具無縫的整合在一起。QuartusⅡ與Alter公司的上一代設計工具MAX+plusⅡ具有一定的相似性,和繼承性。使熟悉MAX+plusⅡ開發環境的設計人員可以快速熟練應用。相比之下,QuartusⅡ軟體功能更為強大、設計電路更為便捷,支援的器件更多。增強了自動化程度,縮短了編譯時間,提升了除錯效率。從而縮短了電子產品的設計週期。利用QuartusⅡ軟體進行電子電路設計流程如圖3所示。

圖3 QuartusⅡ設計流程圖

  3.在QuartusⅡ環境下的EDA方法設計例項

下面本文在QuartusⅡ環境下,以下降沿D觸發器的設計為例來說明基於EDA技術的現代電子設計方法(本文以QuartusⅡ9.0為例)。

3.1 在計算機上安裝QuartusⅡ9.0版本軟體

QuartusⅡ9.0對計算機硬體配置要求不高,現階段的主流配置完全可以滿足其要求。QuartusⅡ9.0安裝過程很簡單,按照提示操作即可。

3.2 D觸發器功能分析

從D觸發器真值表可以看出,當時鍾訊號clk不論是高電平還是低電平,其輸出q的狀態都保持不變,當時鍾訊號clk由高電平變為低電平時,輸出訊號q和輸入訊號d的狀態相同。

表1 D觸發器真值表

輸入d 時鐘clk 輸出q

× 0 不變

× 1 不變

0 下降沿 0

1 下降沿 1

3.3 D觸發器的VHDL描述設計

下面給出D觸發器的VHDL描述:

library ieee;

use _logic_;

entity dff1 is

port(d,clk:in std_logic;

q:out std_logic);

end dff1;

architecture bhv of dff1 is

begin

process(clk)

begin

if clk='1' then

q<=d;

end if;

end process;

end bhv;

上面程式在QuartusⅡ9.0環境下,經儲存後進行編譯,然後可進行波形模擬。

3.4 設計模擬

VHDL描述程式編譯後,建立向量波形檔案,之後可以進行波形模擬,得到如下波形模擬圖(如圖4所示):

圖4 D觸發器模擬波形圖

此模擬波形符合D觸發器真值表,說明電路設計正確。如果波形模擬不符合真值表,說明電路設計有問題,此時可以回到3.3步驟修改VHDL描述程式,直至模擬結果正確為止。

波形模擬正確後,可得出相應的邏輯電路圖,D觸發器電路圖(如圖5所示)如下:

圖5 D觸發器邏輯電路圖

3.5 配置下載測試

整個電路設計、編譯模擬無誤後,按照FPGA開發板說明書進行引腳鎖定,重新進行編譯後,然後通過下載電纜線,將產生的sof檔案下載至FPGA中,對電路進行測試、驗證,完成電路的最終設計。

  4.結束語

本文以QuartusⅡ開發環境下的實際電路設計為例,介紹了基於EDA技術的現代電子設計方法。通過設計過程可知,DEA技術在現代電子電路設計中的重要性。在電子技術飛速發展的資訊時代,EDA技術也在不斷髮展。電子產品設計者有必要熟練掌握硬體描述語言、可程式設計邏輯器件以及各種主流軟體開發環境,這樣才可以在最短的時間內完成高質量的電子產品設計任務。